Motores paso a paso

Necesito programar una FPGA, concretamente del fabricante XILINX modelo SPARTAN II, para controlar un motor paso a paso, para ello utilizare el xip UDN2981A, pero no se bien como hacerlo, necesito algo de ayuda. Gracias

1 Respuesta

Respuesta
1
No conozco esa marca. Me dedio más al diseño y no me ha tocado nucna adaptar algo de ese fabricante. Lo que si te puedo decir es que el integrado que me mencionas (UDN2981A : 8-CHANNEL SOURCE DRIVERS) son ocho drivers de corriente. No te maneja la lógica del sistema. Tendría quetener más datos del motor paso a paso. Cuantos campos(bobinas) tiene y demás. De eso dependería la lógica. Tal vez no interpreto del todo bien tu pregunta. Pero me gustaría me des más detalles de para que usas este motor particularmente y que tipo de motor es. Por mi parte, voy a buscar info sobre este fabricante / modelo a ver si te puedo ayudar un poco más

Añade tu respuesta

Haz clic para o

Más respuestas relacionadas